找回密码
 立即注册

今日: 89|昨日: 236|帖子: 105449|会员: 25159|欢迎新会员: 朱天***

推荐内容

51 发烧友,UAC,极致音频,大国工匠,艺术人生,乐林漫步 (3)

版主: 8051启蒙者, 梁工, DebugLab, 电子电工单片机

20 / 920

USB:USB-CDC虚拟串口/就是串口,一箭双雕之USB转双串口,[鼠标+键盘]的HID复合设备 (2)

版主: 32G12K128, 芯势力, 沈洁, 熊仔, gentleman, yefeng

327 / 3001

CAN/Lin/CANOpen/已通过车规 AEC-Q100 Grade1(-40 ~ +125度)

版主: 芯LYS

168 / 1437

视频教学,《单片机原理及应用》教学改革及实战技术交流会,本版限制发帖 (4)

版主: 32G12K128, 芯势力

33 / 3570

段码LCD/80mA大电流LED数码管自动刷新显示/RTC实时时钟/触摸按键/低功耗

版主: 32位8051-AI, 梁工, 电子DIY小家, DebugLab, pgdw

233 / 2322

ADC/比较器,DMA支持的12位ADC,轨到轨比较器,DAC

版主: 32位8051-AI, 梁工, 32位8051, xiangzichen

351 / 3127

BLDC/144MHz-PWM-硬件移相/45路PWM+3路CCP/7组不同周期的PWM/DAC (11)

版主: 一轮明月, 梁工, yao眼的光, 王昱顺, gentleman, 赛菱智能控制, xtajmhfhn

417 / 4302

软件库函数/原理图库/PCB库/最小包装

版主: 清风予我, LAOXU

155 / 1583

电源/复位/省电模式/外部晶振/内部时钟/对外时钟输出/PLL-144MHz时钟 (1)

版主: 国学芯用, autopccopy

300 / 2419

仿真/编译器/汇编器/头文件 (14)

版主: 8051启蒙者, 每天不一YOUNG, AI芯, autopccopy, chen, LAOXU, 社区闲人

612 / 4662

ISP下载/做自己的ISP

版主: 8051启蒙者, 每天不一YOUNG, AI芯, autopccopy, chen, LAOXU

282 / 2742

远程现场升级 =【发布项目程序+程序加密后传输+USB下载】,ID号加密/通过ID号控制下载

版主: 32G12K128, 32位8051-AI, AI芯

44 / 402

开源示波器,频谱分析仪-FFT,PID控制,四轴飞行器,智能小车 (1)

版主: 大刀阔斧, 梁工, lijun4545

91 / 940

2025全国大学生电子设计竞赛技术支持版块,一等奖2万,选型

版主: 浅夏星空, AI-32位8051, AI芯

184 / 2088

I/O读写及点灯,外部中断 ,所有I/O都支持的普通I/O中断,按键扫描

版主: 8051启蒙者, 每天不一YOUNG, 32位8051-AI, chen

290 / 2684

定时器/计内部时钟,计数器/计外部脉冲,时钟分频输出,软件延时 (5)

版主: 32G12K128, 芯势力

185 / 1461

串行口,DMA支持的4组串口,RS232,RS485,Modbus, CRC16

版主: 32G12K128, 芯势力

298 / 2222

QSPI/3组SPI/I2S/I2C,一线制温湿度传感器

版主: 一轮明月, yao眼的光, kksk

258 / 1903

DMA支持: QSPI, SPI, I2S, I2C, 4组串口, i8080/MC6800-TFT

版主: 芯LYS, 社区闲人

110 / 877

EEPROM/DataFlash (3)

版主: 32位8051-AI, 32位8051

192 / 1653

OLED12864-GUI/U8g2-科学计算器

版主: 芯征程, 大明狐

69 / 874
0.96 OLED隔行不亮(已解决) 2024-10-9 22:43 xup***

TFT/LCD12864/LCD1602/红外遥控 (1)

版主: 芯征程, 大明狐, zhangshiwei

91 / 868

uCOS/FreeRTOS,GUI-uGFX/U8g2, 文件系统, 国产RTOS, 实时操作系统 (5)

版主: 大刀阔斧, 杨为民, CosyOS, 熊仔, 王昱顺, tzz1983, 社区闲人

206 / 4003

字库生成,图片取模,串口绘图,串口助手,虚拟硬件,外部串行Flash编程

版主: 32G12K128, 大明狐

28 / 612

其他技术交流 (3)

版主: 8H8K64U

654 / 1万

AI351体系结构,DPU32/DSP + TFPU + 32位8051, 实验板,大学教材 (5)

版主: 麦丽素, 杨为民

137 / 1552
内部丝印2 1 小时前 神***

综合讨论 (8)

版主: 浅夏星空, AI-32位8051, yefeng, 晓飛飛

1089 / 9644

老鸟反刍/吐槽,新手乐园,毕业设计 (2)

版主: 麦丽素, 电子DIY小家, 晓飛飛

780 / 7297

问题反馈:ISP软件/头文件/单片机数据手册规格书等

版主: 麦丽素

326 / 2108

开源广场及教学视频交流

版主: 清风予我, 布丁橘长, Yim_Hom, 赛菱智能控制

358 / 3225

作品展示

版主: Yim_Hom

82 / 1596
8H8K64U六轴机械臂V2.0 昨天 13:20 Yim***

工控板,PLC,8H/32G系列实现 (6)

版主: redelz, zhangxinchun, lijun4545, 赛菱智能控制

96 / 1782

学习打卡区 | 感悟 (11)

版主: 国学芯用, 8051启蒙者, 32G12K128, 32位8051-AI, AI-32位8051

1037 / 9348
Ai8051U学习之旅 半小时前 sprin***

有ICache的AI32F12K54测试版块,FOC

版主: 王昱顺

55 / 828

SDCC, IAR C++ for 51, GCC, VSCode,Linux, MacOS

版主: autopccopy, 社区闲人

87 / 828

老梁亲测 AI33-ARM 内部平台系列讲座

版主: 梁工

14 / 171

荣誉版主 技术支持/文章 索引,我要当荣誉版主

版主: 芯芯向荣up

44 / 593

C语言,汇编语言,Proteus MCU软件仿真 (2)

版主: 国学芯用, 32位8051-AI, 梁工

60 / 532

SCH/PCB EDA设计技术交流区 (1)

版主: 嘉立创EDA-莫工

69 / 1244

郭天祥老师从51到32位51直播视频课程,实战项目专区

版主: 郭天祥

4 / 52

全国大学生智能汽车竞赛,清华卓老师

版主: 清华卓老师, AI-32位8051, AI芯

169 / 885

AI8051U体系结构,高峰论坛,芯起点,芯高度 (1)

版主: 杨为民

16 / 194
AI系列,部分已有型号 6 小时前 stb***

最新发表,最新回复等帖子汇总

单片机规格书

1 / 4
AI-MCU 单片机规格书 2024-2-19 11:04 ad***

软件工具

3 / 5
AISTC工具使用说明 2024-10-13 22:35 ad***

例程包

10 / 24
库文件 前天 19:21 ad***

QQ|手机版|深圳国芯人工智能有限公司 ( 粤ICP备2022108929号-2 )

GMT+8, 2024-10-22 15:31 , Processed in 0.034213 second(s), 11 queries .

Powered by Discuz! X3.5

© 2001-2024 Discuz! Team.

返回顶部